Главная страница  Автономные управляющие системы 

1 2 3 4 5 6 7 8 9 10 [ 11 ] 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40

Рис. 1.59. Конфигурация нескольких ПЛИС FLEX6000, FLEX10K, АРЕХ20К при помощи ПЗУЕРС2, ЕРС1, ЕРС1441

Vcc? Vcc9 Vcc?

DCLK DATAO nSTATUS CONF DONEk, MSELO nCONFIG MSEL1 nCE

MSELO DCLK MSEL1 DATAO

nSTATUS CONF DONE

nCONFIG nCEO m

DCLK DATA

OE nCASC

nCS ПЗУ1

nlNIT C0NF(3)

4DCLK DATA nCS

0ЕПЗУ2

Рис. 1.60. Конфигурация ПЛИС FLEXSOOOnpn помощи ПЗУ, ЕРС1, ЕРС1441, ЕРС1213, ЕРС1064

VCC9 Vcc 1 кП 1 к

О - 0- 0 -

Vcco-

nSIP CONF DONE MSELO nSTATUS MSEL1 DCLK

DATAO nCONFIG

°E ПЗУ

DCLK DATA

Рис. 1.61. Конфигурация нескольких ПЛИС FLEX8000 при помощи ПЗУ ЕРС1, ЕРС1213

VcC9 Vcc9 Vcc9 VcCj

1кП 1кП 1кП 1кГ

О -О . О -

nSIP CONF DONE MSELO nSTATUS MSEL1 DCLK

DATAO nCONFIG

O . 1 -0 -

nSIP CONF DONE

MSELO nSTATUS

MSEL1

DCLK

DATAO

nCONFIG

O -

nSIP CONF DONE

MSELO nSTATUS

MSEL1

DCLK

DATAO

nCONFIG

Jvcc

Ivcc

nCS nCASC OE ПЗУ1

DCLK

DATAh

OE ПЗУ2

DCLK

DATA



Рис. 1.62. Временные диаграммы конфигурации ПЛИС

D(N-1)

nCONFIG nSTATUS c0nf d0ne(1) DCLK DATA User I/O (2)

INIT DONE (3) MODE

/do\ d1/d2\ d3*

Состояние

Конфигурация

~)( Инициализация

Работа

Работа

На Рис. 1.62 приведены временные диаграммы конфигурации ПЛИС.

Кроме использования ПЗУ, ПЛИС можно конфигурировать с использованием контроллера системы, в которой применена ПЛИС В Таблице 1.20 приведены возможные режимы конфигурации ПЛИС.

Таблица 1.20. Режимы конфигурации ПЛИС

Режим конфигурации

Применение

Passive Serial (PS) Пассивный последовательный

Конфигурация по последовательному синхронному порту микропроцессора (МП) или устройству ByteBlaster, BitBlaster, MasterBlaster

Passive Parallel Synchronous (PPS) Пассивный параллельный синхронный

Конфигурация no параллельному синхронному порту МП

Passive Parallel Asynchronous (PPA) Пассивный параллельный асинхронный

Конфигурация по параллельному асинхронному порту МП. МП адресует ПЛИС как память

Passive Serial Asynchronous (PSA) Пассивный последовательный асинхронный

Конфигурация по последовательному асинхронному порту микропроцессора (МП)

JTAG

Испопьзуется стандарт IEEE Std 11491



2.1.0БЩИЕСВЕДЕНИЯ

До последнего времени MAX-I-PLUS II являлся единственной системой проектирования устройств на ПЛИС Altera Только в 1999 году появилась система проектирования нового поколения Quartus, предназначенная для разработки устройств на ПЛИС АРЕХ20К Программное обеспечение системы MAX+PLUS II, представляющее собой единое целое, обеспечивает управление пользователя средой логического проектирования и помогает достичь максимальной эффективности и производительности Все пакеты работают как на платформе IBM PC, гак и на платформах SUN, IBM RISC/6000 и НР9000 В дальнейшем мы будем рассматривать работу на платформе IBM PC

Для нормальной инсталляции и работы САПР MAX-I-PLUS II (версия 9 4 вышла в декабре 1999 года) необходима IBM PC-совместимая ЭВМ с процессором не хуже Pentium, объемом ОЗУ не хуже 16 Мб и свободным местом нажестком диске порядка 150...400 Мб в зависимости от конфигурации системы Из собственного опыта можем сказать, что для разработки больших кристаллов на ПЛИС FLEX10K50 и выше желательно иметь не менее 64 Мб ОЗУ (лучше 128, еще лучше 256, совсем хорошо 384 Мб и выше) и процессор Pentium II (Р-3 реально не дает особого выигрыша) Конечно, можно использовать и более слабые машины, но тогда возрастает время компиляции и увеличивается нагрузка на жесткий диск из-за свопинга Увеличение объема оперативной памяти и кэша дает лучшие результаты по сравнению с увеличением тактовой частоты процессора Если не предполагается трассировка больших кристаллов, то вполне хватает 32 Мб ОЗУ при хорошей скорости компиляции проекта Что касается выбора операционной системы, то, без сомнения, лучше использовать Windows NT, хуже - Windows 95

OSR2, плохо - Windows 98, особенно локализованную версию Очевидно, это связано с тем, что изначально пакет был разработан под Unix и не полностью использует все механизмы Windows Особенно это заметно при временном моделировании сложных устройств ЦОС, когда перерисовка экрана занимает основное время Поскольку пакет не локализован, то лучше использовать не локализованные (американскую или паневропейскую) версии Windows

Во время инсталляции системы MAX+PLUS II создаются два каталога \maxplus2 и \max2work. Каталог \maxplus2 содержит системное ПО и файлы данных и разбит на подкаталоги, перечисленные в Таблице 2.1

Каталог \max2work содержит файлы обучающей программы и примеры и разделяется на подкаталоги, описанные в Таблице 2.2.

Название системы MAX+PLUS II является аббревиатурой от Multiple Array Matrix Programmable Logic User System (Пользовательская система программирования логики упорядоченных структур). Система MAX+PLUS II разработана фирмой Altera и обеспечивает многоплатформенную архитектурно независимую среду создания дизайна, легко приспосабливаемую для конкретных требований пользователя Система MAX+PLUS ii имеет средства удобного ввода дизайна, быстрого прогона и непосредственного программирования устройств

Представленный на Рис. 2.1 состав ПО системы MAX+PLUS II является полным комплектом, обеспечивающим создание логических дизайнов для устройств фирмы Altera с программируемой логикой, в том числе семейства устройств Classic, МАХ 5000, МАХ 7000, МАХ 9000, FLEX 6000, FLEX 8000 и FLEX 10К Информация о других поддерживаемых семействах устройств фирмы Altera приведена в файле read.те в системе MAX+PLUS II.

Таблица 2.1. Структура системного каталога \maxplus2 системы MAX+PLUS II

Подкаталог

Описание

\drirers

Содержит драйверы устройств для среды WINDOWS NT (только для инсталляции на платформе PC в среде WINDOWS NT)

\edc

Содержит поставляемые фирмой Altera командные файлы ( edc), которые генерируют выходные файлы (edo по заказу пользователя для заданных условий тестирования

\imf

Содержит поставляемые фирмой Altera файлы макробиблиотек (Imf), которые устанавливают соответствие между логическими функциями пользователя и эквивалентными логическими функциями MAX+PLUS 11

\та)(2]пс

Содержит lnclude-файлы (файлы заголовков ) с прототипами функций для разработанных фирмой Altera макрофункций В прототипах функций перечисляются порты (выводы) для макрофуикций, реализованных в текстовых файлах проекта (.Idf), написанных на языке AHDL

\ma)(2iib\ed]f

Содержит примитивы и макрофункции, используемые для пользовательских интерфейсов EDIF

\ma)(2lib\mega lpm

Содержит мегафункции, в том числе библиотеку функций параметризованных модулей (LPM) и lnclude-файлы для них с соответствующими прототипами на языке AHDL

\ma)(2lib\mf

Содержит макрофункции пользовательские и устаревшие (74-series)

\maj(2lib\prim

Содержит поставляемые фирмой Altera примитивы

\vlidlnn\altena

Содержит библиотеку фирмы Altera с программным пакетом maxplus2 В этот пакет входят все примитивы, мегафункции и макрофункции системы MAX+PLUS 11, поддерживаемые языком VHDL

\vhdlnn\ieee

Содержит библиотеку ieee пакетов VHDL, в том числе stdJogic l 164, stdJogic arilh, stdjogicjigned и std Jogicunsigned

\vlidlnn\std

Содержит библиотеку std с пакетами стандартов и средств ввода/вывода текста, описанными в справочнике по стандартам института IEEE на языке VHDL IEEE Standard VHDL Language Reference Manual

Таблица 2.2. Структура рабочего каталога \max2work системы MAX+PLUS II

Подкаталог

Описание

\ahdl

Содержит файлы примеров, иллюстрирующих тему Как использовать язык AHDL (How to Use AHDL) в электронном справочнике (MAX+PLUS 11 Help) и в руководстве MAX+PLUS 11 AHDL

\chiplrip

Содержит все файлы обучающего проекта chiptrip, описанного в руководстве MAX+PLUS II AHDL

\edif

Содержит все файлы примеров, иллюстрирующих особеннхти EDIF в электронном справочнике (MAX+PLUS II Help)

\iutoriai

Содержит информационный файл read.me обяающего проекта chiptrip Все файлы, создаваемые в проекте chiptrip, должны находиться в этом подкаталоге

\vhdl

Содержит файлы примеров, иллюстрирующих тему Как использовать язык VHDL (How to Use VHDL) в электронном справочнике (MAX+PLUS II Help) и в руководстве MAX+PLUS II VHDL

\№п1од

Содержит файлы примеров, иллюстрирующих тему Как использовать язык верификационного протокола Verilog HDL (How to Use Verilog HDL) в электронном справочнике (MAX+PLUS II Help) и в руководстве MAX+PLUS 1! Verilog HDL



1 2 3 4 5 6 7 8 9 10 [ 11 ] 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40

© 2000 - 2024 ULTRASONEX-AMFODENT.RU.
Копирование материалов разрешено исключительно при условии цититирования.